少妇爆乳无码专区网站_中文字幕久久久人妻无码_久久99热精品免费观看麻豆_亚洲爆乳AAA无码专区

15601689581
當前位置:主頁 > 技術文章 > Moku云編譯介紹

Moku云編譯介紹

更新時間:2022-10-11 點擊次數:814

Moku Cloud Complie是Moku:Pro上的(de)一(yi)項創新(xin)功能(neng)。Moku是測試工具是基于FPGA的(de)儀器,Moku Cloud Complie允許用戶(hu)將自(zi)(zi)定義(yi)的(de)VHDL代碼(ma)部(bu)署到Moku,代碼(ma)可(ke)以(yi)停提供自(zi)(zi)定義(yi)功能(neng)和現有儀器交互(hu),解鎖Moku片上儀器的(de)創新(xin)和du有的(de)功能(neng)。

這(zhe)個教程知道用(yong)戶從創(chuang)建(jian)Cloud Complie賬(zhang)戶開(kai)始到(dao)部署一(yi)些簡單的VHDL示例。在指南(nan)結束時(shi),用(yong)戶將具備編譯和部署自定義代碼到(dao)Moku:Pro的基本知識(shi)。


前提(ti)條件(jian)


Moku:Pro 需要帶有以下功能

Multi-Instrument Mode(MiM)

Moku Cloud Complie (MCC)

如果您(nin)的 Moku:Pro 沒(mei)有 MiM 或 MCC,聯系 Liquid Instruments 以(yi)咨詢評估和升級。


概述


Liquid Instruments 的云編(bian)譯工具使(shi)用戶能(neng)夠(gou)設(she)計(ji)客戶代碼和(he)功(gong)能(neng)以在 Moku:Pro 平(ping)臺上實施(shi)。與 CPI 和(he)基于專用集(ji)成(cheng)電路 (ASIC) 的 DSP 方法相比,FPGA 平(ping)臺提供接近(jin) ASIC 級別的延遲和(he)性能(neng),并(bing)且更(geng)像(xiang)傳(chuan)統(tong) CPU 的軟件可(ke)編(bian)程性。



雖然有(you)許多軟件(jian)語言可以用(yong)來為基于 CPU 的(de)設計編(bian)寫軟件(jian),并(bing)且這些語言被廣泛教授和使用(yong); FPGA 編(bian)程僅(jin)限(xian)于 VHDL 或(huo) Verilog,它們(men)的(de)學習曲線陡峭,而且工(gong)具通常很昂貴(gui)。此外,可用(yong)于部署(shu) VHDL 代碼的(de)平臺僅(jin)限(xian)于 FPGA 供應商的(de)評估板(ban)或(huo)各(ge)種功(gong)能有(you)限(xian)的(de)開源硬(ying)件(jian)板(ban)。



Moku:Pro 與(yu) Moku Cloud Compile 相結合(he),滿(man)足了對具(ju)有研究級硬件的(de)高性能實驗室儀器(qi)的(de)需求,并結合(he)了部署自定(ding)義 VHDL 的(de)能力,而(er)無需大量 HDL 編(bian)譯、合(he)成和路(lu)由軟(ruan)件的(de)開銷(xiao)。 MCC 在云(yun)端編(bian)譯用戶(hu)的(de)客戶(hu) VHDL,并通過互聯網提供(gong)比特流,準備(bei)部署到任(ren)何支持 MCC 的(de) Moku:Pro。



云編譯.png



Multi-instrument mode and Cloud Compile


Moku:Pro 多(duo)儀(yi)(yi)器(qi)(qi)模式 (MiM) 允許同(tong)時部(bu)署(shu)和操作多(duo)個(ge)儀(yi)(yi)器(qi)(qi)。 在蕞(zui)gao及(ji)別; MiM 提供 4 個(ge)插槽,代表(biao) FPGA 的(de) 4 個(ge)分區。 用戶可以將儀(yi)(yi)器(qi)(qi)的(de)靈活排列部(bu)署(shu)到這些插槽中。圖 1 顯示(shi)了 MiM 接口,具有(you)示(shi)波(bo)器(qi)(qi)部(bu)署(shu)在插槽 1,頻譜分析(xi)儀(yi)(yi)部(bu)署(shu)在插槽 2,而(er)插槽 3 和 4 仍有(you)待填充。 可用的(de)儀(yi)(yi)器(qi)(qi)有(you):PID 控(kong)制器(qi)(qi)、示(shi)波(bo)器(qi)(qi)、頻譜分析(xi)儀(yi)(yi)、鎖(suo)相放大器(qi)(qi)、波(bo)形發生器(qi)(qi)、頻率響(xiang)應分析(xi)儀(yi)(yi)、任意波(bo)形發生器(qi)(qi)和云編譯。


云編譯2.png



它是 Cloud Compile 工具,下圖(tu)中的插槽(cao) 4,我們(men)可(ke)以在其(qi)中部署用戶(hu)已(yi)編譯(yi)的 VHDL 代碼。 MiM 因此使用戶(hu)的 VHDL 能(neng)夠與 Moku 儀器進(jin)行交(jiao)互。



云編譯3.png



Setting up a Cloud Compile account


在(zai)我(wo)們(men)可以編譯或部署代碼到 Moku 之前,我(wo)們(men)需要一個在(zai)線(xian)帳戶(hu)。 這是一個簡單(dan)的(de)過程:

在以下位置設置 MCC 用戶帳戶:
現有的新用戶可以通過用戶名或電子郵件地址登錄,然后輸入他們的密碼
注冊頁面只需要用戶選擇的用戶名、有效的電子郵件地址和用戶定義的密碼。
注冊(ce)并登錄后,您將看到 Projects 頁(ye)面(mian),該頁(ye)面(mian)最初為空,如圖(tu)3所(suo)示



云編譯4.png



我(wo)們(men)的第(di)一個(ge) VHDL 示例(li)之前,我(wo)們(men)將配(pei)(pei)置(zhi)設備; 選(xuan)擇 Devices 選(xuan)項卡并進行配(pei)(pei)置(zhi),如圖 4 所示。選(xuan)擇一個(ge)方便的名稱,然(ran)后選(xuan)擇 Hardware version、Firmware version 和 No. of slot,如圖所示。



云編譯5.png


上海昊量光電作為Liquid Instruments公司在中國大陸地區主要的代理商,為您提供專業的選型以及技術服務。對于Moku 云編譯有興趣或者任何問題,都歡迎通過電話、電子郵件或者微信與我們聯系。

關于昊量光電:

上海昊量光(guang)(guang)電(dian)設備(bei)(bei)有限公司是光(guang)(guang)電(dian)產品專業代(dai)理商,產品包括各類激(ji)光(guang)(guang)器、光(guang)(guang)電(dian)調(diao)制器、光(guang)(guang)學(xue)測(ce)量設備(bei)(bei)、光(guang)(guang)學(xue)元件(jian)(jian)(jian)等,涉(she)及應用涵(han)蓋了材料加(jia)工、光(guang)(guang)通訊、生物醫療、科學(xue)研究、國防、量子光(guang)(guang)學(xue)、生物顯微、物聯傳(chuan)感(gan)、激(ji)光(guang)(guang)制造(zao)等;可為客戶提(ti)供完整的設備(bei)(bei)安裝,培訓,硬件(jian)(jian)(jian)開(kai)發,軟件(jian)(jian)(jian)開(kai)發,系統集成等服務。

昊量微信在線客服

昊量微信在線客服

版權所有 © 2024上海昊量光電設備有限公司 技術支持: Sitemap.xml